Welcome![Sign In][Sign Up]
Location:
Search - vhdl dot matrix

Search list

[OtherLED_DEMO_64X32

Description: LED 显示 的小程序主要用于获得点阵码串口通信的自己设置-LED display of small programs mainly for access to serial dot matrix codes set up their own communications
Platform: | Size: 14336 | Author: | Hits:

[VHDL-FPGA-VerilogLED点阵

Description: 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.
Platform: | Size: 4096 | Author: 王卫 | Hits:

[assembly languageVHDLLED

Description: 用VHDL设计8*8点阵显示阵字~~~~!-8* 8 character dot-matrix display RUF ~~~~!
Platform: | Size: 2048 | Author: sfdfsdf | Hits:

[VHDL-FPGA-VerilogLED

Description: 基于alteraCPLD芯片的VHDL点阵滚动显示源代码-VHDL-based alteraCPLD chip dot matrix rolling display the source code
Platform: | Size: 108544 | Author: 林晋阳 | Hits:

[VHDL-FPGA-Verilog16multi16

Description: vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from
Platform: | Size: 299008 | Author: yj | Hits:

[VHDL-FPGA-VerilogLEDhanzigundong_VHDL

Description: 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。-This paper mainly discusses the use of EDA tools for the design of Chinese characters scrolling display technology. First, the description based on field programmable gate array (FPGA) hardware circuit and then studied in the 8 × 8LED LED dot matrix display scroll principle characters, and gives ALTERA based on parameterized model library LPM Description its function in VHDL language programming Finally on the use of EDA software tools for processing data files being displayed method is discussed.
Platform: | Size: 185344 | Author: wang | Hits:

[VHDL-FPGA-Verilogcontrol_dot_display

Description: 点阵显示的vhdl程序,是一个学员实验用的程序-Dot-matrix display VHDL procedure is a procedure used in the experiment participants
Platform: | Size: 313344 | Author: 文工且 | Hits:

[assembly language8x8dianzhen

Description: 8*8的点阵设计例子可以让刚开始做设计的朋友来参考一下-8* 8 dot matrix design examples, we can do the design so that the beginning of the friends to refer to
Platform: | Size: 723968 | Author: 王惠 | Hits:

[Windows DevelopDotmatrix

Description: 8*8点阵,按下按键会自动切换点扫描,字母切换显示,左右滚动显示功能。-8* 8 dot matrix, press the button will automatically switch point scan, switch the letters show that around a rolling display.
Platform: | Size: 309248 | Author: 方向 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果。在QuartusII平台上设计程序和仿真题目要求,并下载到实验板验证实验结果。-Achieve a 10-second countdown circuit, requires the use of 8* 8 dot matrix display timing results. QuartusII platform in the design process and simulation on the subject request and download to the board to verify the experimental results.
Platform: | Size: 404480 | Author: li | Hits:

[SCMtingchechang

Description: 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of the LED display. The design of the experimental use of KH-310 boxes, the use of dot-matrix modules and digital control module, according to the scan shows that the principle of the use of dot-matrix 8X8 analog actual parking spaces by the digital display of information.
Platform: | Size: 1097728 | Author: huangyang | Hits:

[Otherproject3

Description: 用VHDL语言实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果-VHDL language used to achieve a 10 seconds countdown circuits require the use of 8* 8 dot matrix display timing results
Platform: | Size: 296960 | Author: eefamily | Hits:

[Otherdot

Description: 本点阵模块可以完成16*16的汉字显示,也可以英文数字显示。-The dot matrix module can be completed 16* 16 Chinese characters show that the figures can also be in English.
Platform: | Size: 251904 | Author: yaodi | Hits:

[VHDL-FPGA-Verilog1616

Description: 用vhdl语言描述的16*16点阵显示英文字母-Vhdl language used to describe the 16* 16 dot matrix display alphabetical
Platform: | Size: 4096 | Author: weimin | Hits:

[VHDL-FPGA-Verilogdisplay

Description: 点阵显示。利用VHDL语言描述-Dot-matrix display. Described using VHDL language. . . . . . . . . ,. . . . . .
Platform: | Size: 179200 | Author: shaozhiming | Hits:

[VHDL-FPGA-Verilogmatrix

Description: 该源代码是控制16*16点阵的VHDL语言描述,可以让点阵连续显示设置的汉字。-The source code is to control 16* 16 lattice VHDL language description, allowing a continuous dot-matrix display settings of the characters.
Platform: | Size: 909312 | Author: 王伟 | Hits:

[VHDL-FPGA-VerilogLED.dot.matrix.display

Description: LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
Platform: | Size: 80896 | Author: duopk | Hits:

[VHDL-FPGA-VerilogHeart-type-dot-matrix-display

Description: 基于FPGA的用VHDL程序编写的点阵显示爱心型-FPGA-based programming with VHDL-based dot-matrix display of love
Platform: | Size: 190464 | Author: 飞虎队 | Hits:

[VHDL-FPGA-VerilogDot-matrix-displays-the-number-6

Description: 基于FPGA的用VHDL语言编写的点阵显示汉字6的程序-FPGA-based VHDL language with the Chinese character dot matrix display 6 programs
Platform: | Size: 186368 | Author: 飞虎队 | Hits:

[Software Engineeringdot-matrix-display-love-

Description: EDA的vhdl的8*8点阵显示爱心图形的程序-EDA vhdl of 8* 8 dot matrix display love graphics program
Platform: | Size: 204800 | Author: 洋洋 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net